on-demand webinar

Simplifying Questa usage and deployment with Qrun

Estimated Watching Time: 35 minutes

Share

Simplifying Questa usage and deployment with Qrun

Questa Qrun is a new tool that simplifies QuestaSim usage by abstracting away command line level detail, providing easy access to coverage and debug.

Encapsulates tool invocation details

Users commonly write scripts or makefiles to encapsulate these steps. Qrun eliminates this need by providing makefile-like incremental compilation, intelligent default values for common command line options, and automatic compiler selection based on file extension. In addition, it enables the use of more complex flows with the addition of a switch on the qrun command line. For example, the –uvm switch will cause qrun to handle all include files and C code compilation that is required to compile a UVM testbench.

Related resources