on-demand webinar

Intro to Catapult HLS & PowerPro RTL Low-Power Platforms

Share

Intro to Catapult HLS & PowerPro RTL Low-Power Platforms

Mentor Graphics has added two exciting and highly differentiated platforms to its portfolio: the Catapult Platform for High-Level Synthesis and verification from C++ /SystemC source to high-quality, Low-Power RTL and the PowerPro Platform for RTL/GL power estimation and RTL optimization. This session introduces both platforms and why demanding customers such as Samsung, Google, NVidia, Qualcomm, ARM and more have chosen them.

What you will learn:

  • Introduction the capabilities of Catapult High-Level Synthesis
  • How HLS fits into design and verification flows and the applications
    it best fits
  • Introduction to the capabilities of PowerPro RTL Low-Power
  • Flow for how PowerPro can help the RTL designer reduce power
  • Example customer case studies for both Catapult and PowerPro

Who should view:

  • RTL designers interested in lowering power
  • Verification engineers interested in measuring power
  • Hardware architects or Managers interested in moving up to HLS
  • Verification engineers interesting in improved verification
    performance with C++

Related resources