on-demand webinar

Hierarchical Design Using a Pure C++ Class-Based Approach

Estimated Watching Time: 28 minutes

Share

Hierarchical Design Using a Pure C++ Class-Based Approach

Historically, untimed C++ synthesis has required the use of C-style "wrapper" functions to instantiate a design block and define its interfaces. This coding style, while perfectly acceptable, is somewhat restrictive and limits some of the more elegant things that can be done using a pure C++ class based design style. Class-based hierarchal design using High-level Synthesis (HLS) allows users to define and interconnect modules using C++ classes, directly leveraging the power of C++ for things such as inheritance, parameterization, and multiple instances of a class/module.

What you will learn:

  • How to create design modules from a C++ class
  • How to define the top-level hardware interface
  • How to create a multi-block design using C++ classes

Who should attend:

  • Designers currently using Untimed C++ Synthesis
  • RTL designers
  • Hardware architects

Meet the speaker

Siemens EDA

Michael Fingeroff

HLS Technologist

Michael Fingeroff has worked as an HLS Technologist for the Catapult High-Level Synthesis Platform at Siemens Digital Industries Software since 2002. His areas of interest include Machine Learning, DSP, and high-performance video hardware. Prior to working for Siemens Digital Industries Software, he worked as a hardware design engineer developing real-time broadband video systems. Mike Fingeroff received both his bachelor's and master's degrees in electrical engineering from Temple University in 1990 and 1995 respectively.

Related resources