on-demand webinar

Intrinsic intelligence place and route IC tool for advanced node designs

Estimated Watching Time: 25 minutes

Share

Intrinsic intelligence place and route IC tool for advanced node designs

Aprisa is a cutting-edge digital implementation solution that helps engineers address all of their design requirements at advanced nodes due to its:

  • Timing closure – pre-route to post-route correlation and post-route to signoff correlation
  • Routing closure (especially for advanced nodes): – post-route DRC closure achieving near “Calibre clean” results
  • Productivity – out-of-the-box for most designs and scripts can be reused for multiple projects
  • Power savings without sacrificing performance

Aprisa, the digital implementation tool from the Siemens EDA portfolio meets all project requirements addressing the challenges of advanced node designs. It is a route-centric place and route tool for the modern system-on-chip (SoC). This webinar details how Aprisa with its intrinsic intelligence helps designers address the numerous challenges of advanced node designs.

Why Aprisa is a unique place and route tool for advanced node designs

The challenge to plug in a new place and route tool is minimized with Aprisa:

  • Aprisa accepts all the industry standard inputs
  • Aprisa provides a reference flow generator so it’s easier to setup a design project and run the tool
  • Aprisa works with all the industry standard synthesis and signoff tools

The uniqueness comes from its data model that is shared with all engines at any step of the flow, and its architecture which is detail-route centric. This webinar examines the unique benefits and capabilities of the Siemens Aprisa software.

Out-of-the-box best PPA recipes, and flexibility, makes it the right physical design IC tool for place and route

Aprisa uses innovative low-power methodology to quickly converge on low-power, optimizing for optimal performance, power, and area (PPA). It’s the right tool to fit into any digital flow, with its easy adoption capabilities, out-of-the-box best PPA recipes and flexibility for even the most specialized designs.

This on-demand webinar about Aprisa digital implementation solution for advanced node designs highlights

  • Aprisa pre-route to post-route correlation
  • The built-in design wizard that helps with initial design setup and scripting customization
  • The block-level place-and-route flow and the top-level hierarchical design planning and implementation flow
  • How Aprisa can produce the same optimal PPA for multiple blocks without changing the flow
  • How Aprisa PowerFirst technologies enables highest power reduction without sacrificing performance

Related resources